总数:2387 | 当前第173/239 首页 上一页 ... 168 169 170 171 172 173 174 175 176 177 ... 下一页 尾页
Luminary ARM的基本例程视频
今天我要向您讲述一下隔离是如何保障通讯链路和通讯节点的安全的。   大家知道,由于地环路的存在,通讯节点的地之间存在着电势差,这在严酷工业现场环境体现得尤为突出。这地间电势差体现为施加在节点上的共模电压,由于两条通讯线的对地阻抗不平衡,共模电压将会在通讯线间产生干扰电压,干扰链路的通讯,使通讯可靠性降低;如果这个共模电压超过了节点的共模电压容限,会对节点造成严重损坏。   当浪涌、群脉冲耦合到某个节点时,将通过通讯链路耦合到其它节点;当它们耦合到通讯链路时,所有节点都将会受到冲击。   RSM模块的关键优势是巧妙地避开了地环路产生的共模干扰,并对涌、群脉冲等干扰进行隔离和屏蔽,使485通讯更安全、更可靠。   RSM模块是业界首款集电源隔离、信号隔离与总线保护于一身的隔离485收发器。相对于传统方案,其通信延时小的特点使数据传输更快,传输距离更远。严格的EMC、防震防潮防腐蚀测试使其具有很高的可靠性、稳定性和一致性。因而在工业应用、医疗和其他领域中为您简化可靠的通信系统设计。   这是RSM模块的Demo板,您可以看到输入和输出是多么简单明了。只需使用单一电源供电,模块的控制器端和总线端完全隔离。   模块集成的另外一个主要优点在于更小的电路板尺寸。通常485收发器需要有其它辅助器件才能工作,有了RSM模块,这些器件和电路都被集中在一个19.9毫米乘16.9毫米的灌胶封装之中。除了节省电路板空间外、模块集成还减少了元器件数量、简化了PCB的布局和布线,而且可靠性更高。
  1. 2010/11/19
  2. 人气(4380)
  3. 星级(10)
  4. 评论(0)
04_流水灯、蜂鸣器、数码管的程序设计(上)
流水灯、蜂鸣器、数码管的程序设计!
  1. 2010/11/18
  2. 人气(4846)
  3. 星级(10)
  4. 评论(0)
阀门设计手册[课件]
这是一本关于阀门的设计方面的知识与应用书籍。主要内容包括:阀门分类、阀门名词术语、阀门型号编制方法、阀门中的压力损失及主要参数;阀门零部件材料及选用原则,阀门各零部件设计计算程序及计算公式;阀门零部件及结构要素;阀门驱动装置的设计与选用;设计数据;各种阀门的检验和试验方法。
  1. 2010/11/18
  2. 人气(4058)
  3. 星级(10)
  4. 评论(0)
控制阀的设计与应用[课件]
主要讲述调节阀的设计与应用方面知识。控制阀的内容和结构、控制阀的特性及其对控制系统的影响、控制阀的工程设计和选择、阀门定位器、控制阀的测试和安装、控制阀的维修。
  1. 2010/11/17
  2. 人气(3911)
  3. 星级(10)
  4. 评论(0)
隔离RS-485收发器简介
今天我要向您讲述一下隔离是如何保障通讯链路和通讯节点的安全的。   大家知道,由于地环路的存在,通讯节点的地之间存在着电势差,这在严酷工业现场环境体现得尤为突出。这地间电势差体现为施加在节点上的共模电压,由于两条通讯线的对地阻抗不平衡,共模电压将会在通讯线间产生干扰电压,干扰链路的通讯,使通讯可靠性降低;如果这个共模电压超过了节点的共模电压容限,会对节点造成严重损坏。   当浪涌、群脉冲耦合到某个节点时,将通过通讯链路耦合到其它节点;当它们耦合到通讯链路时,所有节点都将会受到冲击。   RSM模块的关键优势是巧妙地避开了地环路产生的共模干扰,并对涌、群脉冲等干扰进行隔离和屏蔽,使485通讯更安全、更可靠。   RSM模块是业界首款集电源隔离、信号隔离与总线保护于一身的隔离485收发器。相对于传统方案,其通信延时小的特点使数据传输更快,传输距离更远。严格的EMC、防震防潮防腐蚀测试使其具有很高的可靠性、稳定性和一致性。因而在工业应用、医疗和其他领域中为您简化可靠的通信系统设计。   这是RSM模块的Demo板,您可以看到输入和输出是多么简单明了。只需使用单一电源供电,模块的控制器端和总线端完全隔离。   模块集成的另外一个主要优点在于更小的电路板尺寸。通常485收发器需要有其它辅助器件才能工作,有了RSM模块,这些器件和电路都被集中在一个19.9毫米乘16.9毫米的灌胶封装之中。除了节省电路板空间外、模块集成还减少了元器件数量、简化了PCB的布局和布线,而且可靠性更高。
  1. 2010/11/16
  2. 人气(4791)
  3. 星级(10)
  4. 评论(0)
Verilog HDL基础视频教程(5)
Verilog HDL是一种硬件描述语言(HDL:Hardware Discription Language),是一种以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。Verilog HDL的最大特点就是易学易用,如果有C语言的编程经验,可以在一个较短的时间内很快的学习和掌握,因而可以把Verilog HDL内容安排在与ASIC设计等相关课程内部进行讲授,由于HDL语言本身是专门面向硬件与系统设计的,这样的安排可以使学习者同时获得设计实际电路的经验。与之相比,VHDL的学习要困难一些。但Verilog HDL较自由的语法,也容易造成初学者犯一些错误,这一点要注意。
  1. 2010/11/16
  2. 人气(9898)
  3. 星级(6)
  4. 评论(2)
Verilog基础视频教程(3-4)
Verilog HDL是一种硬件描述语言(HDL:Hardware Discription Language),是一种以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。Verilog HDL的最大特点就是易学易用,如果有C语言的编程经验,可以在一个较短的时间内很快的学习和掌握,因而可以把Verilog HDL内容安排在与ASIC设计等相关课程内部进行讲授,由于HDL语言本身是专门面向硬件与系统设计的,这样的安排可以使学习者同时获得设计实际电路的经验。与之相比,VHDL的学习要困难一些。但Verilog HDL较自由的语法,也容易造成初学者犯一些错误,这一点要注意。
  1. 2010/11/15
  2. 人气(9555)
  3. 星级(8)
  4. 评论(2)
03_LPC210X的PLL设置及GPIO操作(下)
在过去的10年间,ARM体系统结构已经成为世界上最流行的体系结构之一,从蜂窝电话到汽车制动系统,在这些产品中使用了超过20亿片基于ARM的处理器。许多半导体厂商和产品设计公司组成了全球范围的ARM开发者团体,包括软件开发者、系统设计师和硬件设计师。力天电子(www.LT430.com)是由哈尔滨工程大学五位电子爱好者成立的集研发生产销售一体的电子公司,五位工程师各有所长,涵盖了从入门到高级的常用的单片机。力天电子主要开发适合初学者从入门到精通的单片机学习板,并录有独创的详细的视频,可以让学习事半功倍,在单片机的学习道路上,力天电子会是您的良师益友。
  1. 2010/11/15
  2. 人气(3674)
  3. 星级(10)
  4. 评论(0)
西门子WinAC Basis 教程【课件】
WinAC是全集成自动化的组成部分。使用Setp 7语言编程的应用程序,如果需要的话,可以从PC机上被毫无修改的传送到可编程控制器上。一次写好的程序可以根据最终客户的需要,不用转换就可以在可编程控制器或者PLC上运行。用户在使用HMI软件操作和监控时,如Simatic Protool/Pro和WinCC,也将会从全集成自动化的通用性中受益,因为其设计软件和编程软件也使用相同的数据平台,如Step 7。
  1. 2010/11/15
  2. 人气(4804)
  3. 星级(10)
  4. 评论(0)
03_LPC210X的PLL设置及GPIO操作(上)
在过去的10年间,ARM体系统结构已经成为世界上最流行的体系结构之一,从蜂窝电话到汽车制动系统,在这些产品中使用了超过20亿片基于ARM的处理器。许多半导体厂商和产品设计公司组成了全球范围的ARM开发者团体,包括软件开发者、系统设计师和硬件设计师。力天电子(www.LT430.com)是由哈尔滨工程大学五位电子爱好者成立的集研发生产销售一体的电子公司,五位工程师各有所长,涵盖了从入门到高级的常用的单片机。力天电子主要开发适合初学者从入门到精通的单片机学习板,并录有独创的详细的视频,可以让学习事半功倍,在单片机的学习道路上,力天电子会是您的良师益友。
  1. 2010/11/13
  2. 人气(4438)
  3. 星级(10)
  4. 评论(0)
总数:2387 | 当前第173/239 首页 上一页 ... 168 169 170 171 172 173 174 175 176 177 ... 下一页 尾页